Truth Table

An area for asking questions, making suggestion, share ideas and place circuit examples.
Post Reply
ironasona
Posts: 1
Joined: Sat Dec 12, 2020 10:50 am

Truth Table

Post by ironasona »

Is there a way that i can just insert a truth table and the program is going to make it for me ???
User avatar
admin
Site Admin
Posts: 407
Joined: Sun Jun 14, 2009 10:53 pm
Contact:

Re: Truth Table

Post by admin »

Hi,
It depends on what exactly you need.
If you want the program to synthesize a circuit from your truth table then the answer is no. The program can not do this.
If you need implementation of your truth table to be used in your project you can use ROM. Simply drop a ROM module with as many address bits as you have inputs in the truth table and one data bit for the result of your truth table, fill it with the desired values and you have it.
Post Reply