can I make the workplace bigger

If you have something to say about LogicCircuit program or you know how to improve it please share it here.
Post Reply
1312
Posts: 1
Joined: Mon Mar 01, 2021 3:11 am

can I make the workplace bigger

Post by 1312 »

I mean can I expand the white area where I can draw
User avatar
admin
Site Admin
Posts: 407
Joined: Sun Jun 14, 2009 10:53 pm
Contact:

Re: can I make the workplace bigger

Post by admin »

No you can't. But you can make your circuits smaller by breaking it up to sub circuits and use them. You can also group wires with splitters. Having smaller circuits makes it easier to understand the design, avoid and find errors.
hger92
Posts: 1
Joined: Thu Sep 09, 2021 7:18 am

Re: can I make the workplace bigger

Post by hger92 »

I also wanted to do that. I'm working on project with a goal quite opposite of "easier to understand the design, avoid and find errors". I wanted to show the complexity of circuits, and tried to do (at least for the first step) an 8-bit ALU with only NAND gates (and tri-state), all in one circuit.
Is there any way to expand the workspace outside of the program's bounds? I mean changing the source?
Is there any performance drawbacks of having one huge circuit instead of smaller ones connected?
User avatar
admin
Site Admin
Posts: 407
Joined: Sun Jun 14, 2009 10:53 pm
Contact:

Re: can I make the workplace bigger

Post by admin »

No, you can't do that without changing the source.
The execution performance will not suffer from such expansion, but the visual might. It depends on how many element you will have.
BTW, you can make you circuit bigger than the drawing boundaries now just move elements beyond the white space.
Also you can show complexity in different way. For example you can open project report. It will show how many various elements you have.
Post Reply